Куда установить файлы qdz quartus ii

Обновлено: 03.07.2024

Сначала надо установить Quartus Prime Lite Edition, для чего с сайта altera.com надо скачать пакет установки наподобие QuartusLiteSetup-17.1.0.590-windows.exe (в имени файла цифры обозначают номер версии). Для того, чтобы найти пакет установки, введите в строке поиска Google: Download Center Quartus Prime Lite Edition site:altera.com. По первой же ссылке откроется страничка, где будут доступны ссылки для загрузки. Для загрузки требуется регистрация. Зарегистрируйтесь, и зайдите на сайт по ссылке "LOG IN" под своим именем (обычно это адрес email) и паролем.

[Установка Quartus Prime Lite Edition]

1. Загружать пакеты можно с помощью утилиты Akamai DLM3 Download Manager (что удобно, но требует специальной установки этой утилиты) или напрямую, для этого надо переставить радиокнопку на Direct Download. Я выбрал вариант ручной загрузки, без утилиты Akamai.

Quartus quick start01

arrow

Загрузите все пакеты из раздела Individual Files. Ссылка для загрузки доступна на картинке со стрелкой .

Quartus quick start02

2. Запустите установщик Quartus Prime (файл наподобие QuartusLiteSetup-17.1.0.590-windows.exe). В процессе установки нет ничего особенного, просто выполните шаги мастера и дождитесь окончания установки.

3. Теперь можно запустить Quartus Prime, ярлык для запуска находится в папке Пуск -> Все программы -> Intel FPGA 17.1.0.590 Lite Edition -> Quartus Prime Lite Edition 17.1.0.590 -> Quartus (Quartus Prime 17.1). При первом запуске программа запросит вариант лицензирования, выбирайте средний, без ввода информации о лицензии.

4. Quartus сообщит, что не установлена поддержка ни одной из микросхем.

Quartus Prime Light Edition first launch1

"Вы успешно установили Quartus Prime, но не установили ни одно устройство. Хотите запустить device installer для установки новых устройств?"

Это означает, что Quartus установлен, но пока в нем нет информации о микросхемах логики (Arria II, Cyclone, MAX и т. п.). Это файлы с расширением *.qdz, которые были закачаны на шаге 1 (arria_lite-17.1.0.590.qdz, cyclone-17.1.0.590.qdz, cyclone10lp-17.1.0.590.qdz, cyclonev-17.1.0.590.qdz, max-17.1.0.590.qdz, max10-17.1.0.590.qdz). Кликните Yes, выведется окно предупреждения, что не на всех операционных системах можно устанавливать пакеты устройств непосредственно из Quartus, тогда понадобиться утилита Device Installer.

Quartus Prime Light Edition first launch2

Запустите Device Installer, ярлык находится в той же папке, что и ярлык для запуска Quartus.

Quartus Prime Light Edition first launch3

Выберите папку, где находятся файлы *.qdz, и установите пакеты.

Quartus Prime Light Edition first launch4

Quartus Prime Light Edition first launch6

Quartus Prime Light Edition first launch7

После установки пакетов перезапустите Quartus.

Quartus Prime Light Edition first launch8

[Подключение Altera USB Blaster]

5. Для прошивки микросхем логики нужен программатор JTAG. Информацию по имеющемуся у Вас адаптеру и драйвер для него можно найти по ссылке [3]. В качестве JTAG-программатора Altera я купил на Aliexpress недорогой кабель New Mini Usb Blaster Cable For ALTERA CPLD FPGA NIOS JTAG Altera Programmer, обошелся он примерно в 200 рублей ($3.5).

Free Shipping New Mini Usb Blaster Cable For ALTERA CPLD FPGA NIOS JTAG Altera Programmer

Altera USB Blaster JTAG pinout

Подключите Altera USB Blaster к компьютеру. Драйвер для него находится в папке J:\intelFPGA_lite\17.1\quartus\drivers\usb-blaster\. Зайдите в Менеджер Устройств, выполните двойной щелчок на устройстве ..

Укажите установку драйвера вручную, укажите путь до папки J:\intelFPGA_lite\17.1\quartus\drivers\usb-blaster\. Внимание: не нужно указывать путь в папки x32 и x64.

На своей операционной системе Windows 7 Максимальная Service Pack 1 я столкнулся с этой ошибкой. Компьютер при каждой перезагрузке уходил в синий экран BSOD PFN_LIST_CORRUPT.

PFN LIST CORRUPT

Для устранения проблемы нужно загрузиться в безопасный режим, и через Диспетчер Устройств удалить драйвер (включая его файлы).

После этого перезагрузите компьютер в нормальный режим, загрузка должна пройти успешно. Загрузите архив с драйвером USB-Blaster-WIN7-x64.zip [], распакуйте его в любую папку. Подключите Altera USB Blaster, и установите драйвер из папки CDM 2.04.16 WHQL Certified.

При установке появится предупреждение о проблеме с сертификатом, выберите "Установить все равно". Драйвер установится и будет нормально работать.

[Установка ModelSim]

6. Запустите инсталлятор ModelSimSetup-17.1.0.590-windows.exe. Подтвердите запрос на разрешение изменений, и пройдите все шаги установки.

ModelSimSetup1

Если у Вас не приобретена лицензия на ModelSim, то на этом шаге нужно выбрать вариант "ModelSim - Intel FPGA Starter Edition".

Начинающим часто непросто бывает разобраться с процессом установки среды разработки Quartus II для программируемых логических интегральных схем, или ПЛИС , фирмы Altera. Это руководство поможет сделать первый шаг в освоении такого непростого, но интересного дела, как разработка для ПЛИС .

1 Выбор версииQuartus II

Для начала нужно выбрать подходящую версию среды разработки Quartus II . Выбор зависит от того, какую именно ПЛИС вы будете использовать в своей работе: устаревшие ПЛИС не поддерживаются в самых последних выпусках Квартуса. Заходим на официальный сайт фирмы Altera и внизу страницы в разделе "Software Selector" смотрим, какая версия Quartus поддерживает вашу конкретную модель ПЛИС .

Выбор версии Quartus II

Выбираем подходящую версию Quartus II

2 Выбор версии Quartus IIпо устройству

Переключаясь между вкладками, можно выбирать по версии (Select by Version) Quartus , можно по устройству (Select by Device) , т.е. по семейству ПЛИС, которое вы планируете использовать в своей работе. Когда выбрали подходящую версию, нажимаем на ссылку с названием версии.

Выбор версии Quartus II по устройству

Нужно помнить, что "Subscription Edition" – это платная версия. Мы должны скачать бесплатную версию Quartus II – "Web Edition". Выбираем версию Quartus II в зависимости от семейства ПЛИС, которое будем использовать

3 Выбор компонентовQuartus II

Нас переводят на страницу с выбором операционной системы и компонентов Quartus II. Можно выбрать нужные компоненты по отдельности, скачать как DVD или как пакет. Проще всего зайти на ссылку "Combined Files" и скачать нужный архив с поддержкой всех требуемых устройств, кликнув по иконке с картинкой загрузки.

Выбор компонентов Quartus II

Выбор компонентов Quartus II

Для продолжения нам предложат зарегистрироваться на сайте Altera. Регистрируемся. Процедура стандартная. Начинается загрузка, ждём ей окончания. Время загрузки зависит от скорости вашего интернет-соединения. Объём скачиваемых данных примерно 3-4 Гб

5 Установка среды разработкиQuartus II

Когда всё загрузилось, запускаем файл QuartusSetupWeb.exe. Выбираем место расположения Quartus II.

В пути к директории установки Quartus II не должно быть кириллицы и пробелов (например, «Мои документы» – плохое место для установки Квартуса), иначе в будущем это может вызвать проблемы при работе с ПЛИС. Хороший вариант пути для установки C:/Altera/.

Далее выбираем компоненты для установки. В зависимости от параметров вашего компьютера, процесс установки может занять до часа. Дожидаемся окончания процесса установки среды разработки Quartus II на компьютер.

Установка среды разработки Quartus II

Установка среды разработки Quartus II

6 Окончание установкиQuartus ii

Всё, среда разработки Quartus II установлена! Запускаем её по ярлыку на рабочем столе или в меню «Пуск» .

Окончание установки Quartus ii

Окончание установки Quartus ii

Quartus II 13.0 Самая полная установка, тренажер (включая адрес загрузки)

Во-первых, первый - это загрузка программного обеспечения Quartus II 13.0.1

Если у вас нет этих высоких требований, используйте низкую версию квартала II, и низкая версия программного обеспечения относительно стабильна, чтобы предотвратить все, чтобы все найти номер версии файла установки не совпадает, я здесь Используйте здесь Quartus II. 13.0.1 Версия файла установки источника, файл трещин и библиотеки устройств (Cyclone, Cyclone II, Cyclone III, Cyclone IV device support ),


Во-вторых, подробный процесс установки:

1. Откройте суффикс для файла .exe

Поместите шаги:




Следующая вещь не показана, полностью следующая .

Хорошо, я считаю, что ваш процесс установки не должен иметь проблем. Далее шаг входит в трещину.

Третий процесс трещин:

1. Я верю, что компьютер каждого - 64! Так что только понимайте фокус: как показано


2, обратите внимание на это, пожалуйста, выключите антивирусное программное обеспечение вашего компьютера, должны быть выведены, или программное обеспечение для трещин будет принято решением вирусом, затем очищенным.

После открытия файла теория - это «приложение», которое автоматически будет нанести патч, но в случае появится дело.


3, в случае, когда вышеуказанная фигура состоит в том, что файл трещин не размещен в каталоге установки, поэтому здесь, чтобы поставить файл Crack внутри сжатого пакета в каталоге установки.

1), поставьте следующую копию файла


2, поставьте вышеуказанные файлы ниже ****** \ QUARTUS \ BIN64, как показано ниже:


3, затем нажмите здесь, чтобы щелкнуть файл Crack, который вставляется, и будет отображаться, как показано ниже:


4, найдите файл sys_cpt.dll в это время, затем дважды щелкните этот файл.


5. Вы будете генерировать файл «Лицензия» ниже каталога установки, нажмите «Сохранить».


6. Наконец, Crackper отобразит это, нажмите «Выход».


7. Запустите ярлык «QUARTUS II 13.0 (64-BIT» для генерации рабочего стола, выберите второе место, нажмите «ОК».


8. После выбора «Настройка лицензии» ниже «Инструменты» появится следующее окно и скопируйте идентификатор.


9. Найдите файл «Лицензия» в «*************» \ QUIRTUS \ BIN64 \ ", И открыть в блокноте, как показано ниже, место для рисования красного ящика будет скопировано в Предыдущий шаг NIC ID заменяет «XXXXXXXXXX», чтобы завершить трещину.


10, тогда вы можете проверить это самостоятельно. Открыть квартус II, щелкните на шагах ниже: Инструмент -> Настройка лицензии, на следующем рисунке показано:


Это очень счастливо, вы можете использовать 2035.12 .

В-третьих, установка библиотеки устройства, часто существует проблема и основные принципы:

1. Когда вы полны радости, откройте программное обеспечение Quartus II, появится внезапное: вы успешны установили программное обеспечение Quartus II, но не устанавливали никаких устройств. Вы хотите запустить установщик устройства, чтобы добавить устройства? Эта ситуация должна, как иметь дело с? Фактически, это потому, что в программном обеспечении квартал II не существует библиотека установки, что можно понять: у вас есть новый дом, но вы хотите смотреть телевизор в доме, не смотрите телевизор? Поэтому также необходимо установить телевизор, вы можете посмотреть телевизор обычно, и библиотека устройства здесь фактически эквивалентна «телевизору». Итак, давайте установим телевизор.

2. Во-первых, я в верхней части сетевого диска Baidu - это библиотека устройства.Cyclone, Cyclone II, Cyclone III, Cyclone IVЧип связан с библиотекой, поэтому, если вы используете доску разработки, это просто эти чипы, вы можете загрузить его самостоятельно, вы можете установить мой файл, загруженный в облачное блюдо Baidu, если вам нужно установить другую библиотеку устройства, здесь вы просто говорите Как скачать его.

2), как показано ниже, дайте некоторые основные операции некоторых веб-страниц:

Первая стрелка может выбрать: номер версии

Чтобы сосредоточиться сюда, вам должно быть, необходимо скачать один из тех же библиотек устройств, поскольку вы установили, в противном случае вы сообщите об ошибке при нажатии процесса установки (NO .QD файл).

Вторая стрелка может выбрать другую систему.

Третья стрелка, рекомендуемая метод загрузки загружается, здесь необходимо объяснить, вам нужно зарегистрировать свою учетную запись при загрузке, вы следуете выполнению шага шага, вам также необходимо установить небольшое программное обеспечение, режим загрузки является так же, как глава на картинке ниже.

3) загрузите файл .qzd, обязательно установите его в каталог Bin, где установлен программное обеспечение Quartus II.



3. Далее является процессом установки: сначала найдите свое собственное меню: Установщик устройства Quartus II 13.01, расположение показано ниже:


4. После открытия нажмите Далее


5. Как показано на рисунке ниже, это, как правило, по умолчанию для каталога BIN, так что именно поэтому последний шаг, как говорят, имеет хороший файл QZD в каталоге Bin, если есть файл QDZ в каталоге Bin, Нажмите Далее, чтобы продолжить выполнение, если вы четко поместите загруженный файл .QDZ в каталог Bin, или сообщите «Нет .QDZ File», затем поздравляю, вы загружаете библиотеку устройства, не совпадают с версией, которую вы установили , вам нужно снова загрузить его. QDZ файл, пожалуйста, пропустите «третье, устанавливая библиотеку устройства», шаг 2 и повторно загрузите библиотеку устройства.


6. Далее выберите библиотеку устройства, которую вы хотите установить, после выбора щелкните следующую кнопку.


7. Продолжайте нажать Далее.


8. Нажмите Готово, вы завершили установку библиотеки устройства.


Просто здесь, кстати, если вы действительно поможете вам, поделитесь этим, передайте людям, которые вам нужны! Я также надеюсь, что вы можете стать человеком, который любит поделиться!

Программа на vhdl уже есть, теперь расскажу что с ней делать.


При запуске программа предлагает создать новый проект или открыть существующий

выбираем “Create a New Project”, если уже зашли в программу, тогда
File->New Project Wizard…
Не думаю что вы увидите что-то новое: выбор каталога и название проекта, добавление файлов к проекту, выбор чипа, финиш.


Теперь нужно создать файл (основываясь на статью Что такое VHDL. Простейший пример создания логического элемента в ПЛИС) создаем файл vhdl
File->New->VHDL File


Копипастим в него весь код, сохраняем. Теперь указываем программе что это главный файл проекта
Project->Set as Top-Level Entity

(без этого ничего не получится).

Можно компилировать.
Processing->Start Compilation (Ctrl+L)
либо фиолетовый треугольник вверху на панели.

Выводится отчет о компиляции, если все хорошо – идем дальше.


Перед прошивкой нужно назначить соответствие между сигналами входа и выхода в проекте и пинами чипа. Заходим
Assignments->Pins

Внизу видим название наших сигналов. В поле Location просто выбираем нужный пин, либо клацнуть два раза на пине (где изображение микросхемы) и выбрать нужное имя.

В ПЛИС все пины равноправные, могут быть как входом, так и выходом, или двунаправленные. Некоторые выводы могут быть источником глобального клока, глобальным сбросом или глобальным enable. В нашем проекте есть все эти виды сигналов, конечно не обязательно их подключать к этим пинам, но в большем проекте где тактовый сигнал идет на много модулей это будет эффективней, поскольку физически они так построены внутри чипа. Также в зависимости от конкретной микросхемы можно выбирать нагрузочную способность вывода, подключать подтягивающий резистор или защитный диод.


После назначений выводов можно приступать к программированию
Tools->Programmer

Когда проект состоит из множества файлов (а это чаще всего), тогда удобно чтобы главным был файл схемотехнического описания. Создаем
File->New->Block Diagram/Schematic File
Сохраняем, назовем его main.


Чтобы добавить туда наш vhdl файл, надо создать с него символьный файл. Для этого выбираем vhdl файл, жмем
File->Create/Update->Create Symbol File for Current File


Возвращаемся к нашему main.bdf, жмем Symbol Tool (кнопочка в виде логического элемента И).

Программа создала 2 символьных файла, поскольку в vhdl два entity. Собственно то что мы описали в entity мы видим в образе этого символьного файла, некий черный ящик у которого есть входы и выходы, а то что в нем происходит описано в architecture.


Теперь добавляем пины, даем им какое-то осмысленное название.


Должно получится что-то типа этого:


Здесь можно добавлять различные интересные штуки, такие как микросхемы стандартной логики или различные сумматоры, мультиплексоры и т. д.

Также в зависимости от используемой микросхемы ее «фарш» в виде памяти, или как в семействе MAX II, встроенный генератор на 5 МГц. Все добавляется и соединяется проводами, причем в чипе оно также будет физически соединено.

Сохраняем файл, снова проделываем
Project->Set as Top-Level Entity

Теперь мои замечания и доработки проекта.

Здесь 2 entity будут лишними, поскольку в схемотехническом файле можно соединять все блоки привычным образом и одни сигнал пускать куда угодно.

Также здесь не нужно подключать библиотеку, т. к. она не используется (в ней описаны сигналы типа std_logic).

Наш файл упрощается до такого


теперь внесу свои 5 копеек в код

Отмазки
Исторически сложилось что я описал создание проекта в Квартусе по первой статье о vhdl, хотя лучше было бы по второй. Но так как у меня были замечания по этой статье, а также хотелось Ultrin'у показать как создается проект в Квартусе я решил ничего не переделывать.

Читайте также: