Есть ли в россии литографические сканеры

Обновлено: 14.05.2024

НАЗНАЧЕНИЕ СТАНЦИИ.

а) рентгеновская литография в толстых резистивных слоях для изготовления микроструктур рентгеношаблонов;

б) экспонирование интенсивным пучком СИ образцов большой площади для методических исследований модификации веществ облучением.

ОСНОВНЫЕ ПАРАМЕТРЫ

  • Источник излучения: ВЭПП-3, вигглер с магнитным полем 2 Тл при энергии электронов 2 ГэВ;
  • Критическая длина волны: 2,33 Å;
  • Установленные в канале фольги для разделения вакуума: Be (100+100+300) мкм;
  • Сменные фольги для спектральной коррекции потока СИ (опционально): полиимид, алюминий;
  • Максимальный размер пучка на входе в станцию (верт. х горизонт.): 12 мм х 72 мм;
  • Оценочная мощность пучка в медианой плоскости на входе в камеру экспонирования с учетом фольги Ве (500 мкм): 3,1 Вт/см²;
  • Режимы экспонирования: теневое экспонирование: через рентгеношаблон со сканированием,
  • максимальное поле до 100 мм х 72 мм
  • , микропучковый рентгенолитограф, прямое рисование микропучком СИ для формирования микроструктур в резисте SU-8:
  • Характеристика объектов исследования: полимерные резисты и другие твердые рентгеночувствительные вещества;
  • Газовая среда в камере экспонирования: форвакуум, гелий (

Режим «микропучковый рентгенолитограф (МПРЛ)» — рисование в толстом слое резиста SU-8 топологии структуры (например, рентгеношаблона), для этого подложка с резистом перемещается на XY-микроподвижке (минимальный шаг 0,1 мкм) под микропучком СИ.

Значительно расширить возможности МПРЛ и сократить время формирования микроструктур удалось благодаря реализации возможности изменять размер микропучка в процессе рисования структуры. Для этого в устройство МПРЛ добавлен независимый координатный прецизионный столик для перемещения в плоскости параллельной облучаемой подложки шаблона с набором рентгеновских диафрагм. В процессе рентгенолитографии выбранная диафрагма совмещается с предварительной диафрагмой диаметром 500 мкм, фиксировано установленной на входе пучка в камеру, формируя таким образом микропучок для рисования структуры. Управление сменой диафрагм и перемещением подложки с резистом осуществляется автоматически. Возможно построение топологий совмещающих элементы различного размера за минимальное время в результате оптимизации выбора необходимого для каждого элемента размера микропучка СИ.

НАИБОЛЕЕ ЯРКИЕ РЕЗУЛЬТАТЫ

С использованием МПРЛ реализована возможность формирования микроструктур, состоящих из ломаных прямых линий, а также дуг окружностей непосредственно в слое негативного резиста SU-8 толщиной до нескольких сотен микрометров. Полученные микроструктуры из резиста SU-8 на проводящей (стеклоуглеродной подложке) используются как маски для электрохимического осаждения золотого покрытия толщиной 25-50 мкм, обеспечивающего рентгеноконтраст в «жестком» спектральном диапазоне СИ 1-10 Aнгстрем. Таким образом, в СЦСТИ в настоящее время изготовляются рентгеношаблоны для LIGA.

Микрофлюидный модуль, изготовленный из ПММА (листовое оргстекло марки ТОСП) методом глубокой рентгенолитографии с использованием шаблона, созданного с использованием МПРЛ после осаждения на заготовку 30 мкм слоя золота, глубина каналов в ПММА 50 мкм.

Высокоаспектные микроламели, изготовленные на МПРЛ прямым рисованием рентгеновским микропучком в толстом слое резиста SU-8, минимальная ширина ламелей 23 мкм, высота структуры 330 мкм.

Изготовленная с помощью МПРЛ микрорешетка из резиста SU-8 на стеклоуглеродной подложке с гальванически осажденным золотым покрытием толщиной около 50 мкм. Период структуры 130 мкм, ширина линий 65 мкм, общее поле решетки 1×1 см².

Фрагмент изготовленной с помощью МПРЛ из резиста SU-8 микрорешетки на стеклоуглеродной подложке. Период структуры 30 мкм, высота 60 мкм.

УЧАСТВУЮЩИЕ В РАБОТАХ ОРГАНИЗАЦИИ

  • Институт ядерной физики им. Г.И.Будкера СО РАН, Новосибирск
  • Институт цитологии и генетики СО РАН, Новосибирск
  • Институт химии твердого тела и механохимии СО РАН, Новосибирск
  • Институт автоматики и электрометрии СО РАН, Новосибирск
  • Институт органической химии им. Н.Н. Ворожцова СОРАН, Новосибирск

Рентгеновская литография на базе лазера на свободных электронах — будущая технология массового производства наноэлектроники

Лазерная установка на свободных электронах в Новосибирске

Максимальный средний ток полученный на ускорителе-рекуператоре первой очереди Новосибирского ЛСЭ до сих пор является рекордным в данном классе машин. Вторая и третья очереди — первые в мире многооборотные ускорители-рекуператоры.

Средняя мощность терагерцового излучения, получаемая на Новосибирском ЛСЭ, является рекордной в этом диапазоне длин волн. В Сибирском центре синхротронного и терагерцового излучения работы с терагерцовым излучением выполняют 20 групп из 12 научных организаций Новосибирска, Москвы и Южной Кореи.

Третья очередь Новосибирского ЛСЭ

22 мая 2012 года получена первая рекуперация электронного пучка на третей очереди — первого в мире четырёхоборотного ускорителя-рекуператора. В 2013 году получена 95% рекуперация и средний ток 3,2 мА на частоте повторений 3,75 МГц. В настоящий момент на установке продолжается установка оптического резонатора для генерации излучения и настройка режимов электроннооптической структуры.

Показания датчика пучка в конце ускоряющей структуры ускорителя (слева). На рисунке видны четыре сигнала ускоряемого пучка и четыре замедляемого. На рисунке справа показаны показания датчиков положения пучка вдоль всей орбиты электронов.

Энергия электронов: 1 Гэв

Длина волны: 13,5 нм

Средняя мощность: 10 кВт

Средний ток электронов:10-20 мА

Планируется замена излучения из плазменного источника на излучение из ЛСЭ без изменения оптики сканера.

Возможная кооперация по реализации проекта

ИЯФ-проект ЛСЭ на 13,5 нм, 11,2 нм и 6,6 нм; изготовление магнитовакуумной системы, систем питания и управления.

Завод Росатома (г. Глазов), ВНИИТФ, ИЯФ — изготовление пластин из сверхчистого ниобия для сверхпроводящих структур, их тестирование.

ИЯФ, ВНИИТФ — проект сверхпроводящего ускорителя, изготовление и тестирование сверхпроводящих структур.

ИФМ — проект рентгенографических каналов и сканеров на 13,5 нм, 11,2 нм и 6,6 нм.

ИФМ, НПО «Планар» — изготовление рентгеновской оптики и сканеров.

ИФП, НИИМЭ, ФТИ РАН — проект технологических процессов и установок для литографии.

По мнению ведущих производителей, EUV-литография на базе лазера на свободных электронах (ЛСЭ) в ближайшем будущем может стать основной технологией массового производства со структурами до 5 нм. Одним из основных препятствий для этого является отсутствие работающего ЛСЭ с нужными параметрами. Рассматривается возможная программа разработки и создания такого ЛСЭ для реализации производства наноэлектроники на базе EUV-литографии силами ИЯФ, других институтов РАН, Росатома микроэлектронной промышленности Россиии и Белоруссии.



EUV-сканер ASML NXE:3300B распечатывает чипы в лаборатории политехнического института SUNY


Даже после того, как вы натянули защитный костюм и проникли в цех Fab 8, его масштаб сложно оценить. Многие ряды высоких машин-«инструментов», занимают большую часть места на фабрике GlobalFoundries стоимостью $12 млрд, построенной в лесу г. Олбани штата Нью-Йорк. Тележки с кремниевыми подложками снуют под потолком по рельсам, проложенным наверху, будто небольшие тележки на американских горках. Если повезёт, то в то время, как вы стоите рядом с инструментом, одна из этих тележек опустится к нему и продвинет подложку к следующему шагу в технологическом процессе, занимающем три месяца. За это время кремниевый диск размером с тарелку превращается в чипы, пригодные для использования в смартфонах, ПК и серверах. Именно так: если вы начнёте делать микропроцессор в первый день нового года, готов он будет к началу весны.

В процессе изготовления подложка более 60 раз покрывается чувствительным к свету веществом и вползает в изолированную от света коробку под названием «сканер». Внутри, в процессе фотолитографии, свет лазера проходит через поверхность с шаблоном и проецирует его уменьшенную версию на подложку, создавая миниатюрные черты, требуемые для создания миниатюрных транзисторов и контуров внутри современных процессоров.

Мало что выделяет эти литографические машины среди множества других инструментов в этом океане автоматизации. Там нет больших знаков с мигающей надписью «здесь проходит критически важный шаг!» Но литография, по словам главного управляющего Fab 8, Тома Кофилда [Tom Caulfield], «это пульс всей фабрики».

Эти сканеры стоят на передней линии закона Мура, периодического удвоения плотности транзисторов, определившего уже более 45 лет удивительного технологического прогресса. Десятилетиями постоянные прорывы, многие из которых относились и к фотолитографии, позволяли изготовителям чипов постоянно уменьшать чипы, усмирять количество циклов исследований и разработки, и экономно упаковывать всё больше транзисторов в чип. Эти разработки провели нас от чипов с тысячами транзисторов в 1970-х до сегодняшних миллиардов.

Но в погоне за прогрессом компания GlobalFoundries и другие производители не смогут полагаться на прошлые прорывы в литографии. Они готовят новый прорыв, который может оказаться одним из самых сложных.

Всё время своего существования полупроводниковая литография осуществлялась при помощи электромагнитного излучения, более или менее напоминавшего свет. Но в новой технологии излучение совсем другое. Оно зовётся экстремальным ультрафиолетовым излучением (EUV) – но, тем не менее, в отличие от используемого в современных сканерах ультрафиолета, EUV не может путешествовать по воздуху, и его нельзя сфокусировать линзами или обычными зеркалами.

А ещё его тяжело получить: сначала лазерный свет попадает на быстрый поток крохотных капель олова. Сканеры используют свет с длиной волны 13,5 нм, что более чем в 10 раз меньше, чем у привычных технологий сегодняшнего дня, в надежде, что в конечном итоге можно будет сэкономить, печатая за один проход то, что сейчас требует нескольких.



EUVL-сканер. Вместо линз используется набор зеркал.

Но создание EUV-систем, достаточно ярких и надёжных, работающих на фабрике почти 24 часа в день, 365 дней в году, оказалось невероятно сложным с инженерной точки зрения. Многие годы к EUV относились со скептицизмом, и она много раз не оправдывала ожиданий.

Но сейчас она на самом деле преобразовывает индустрию. Яркость источника, изготовленного нидерландской компанией ASML Holding, приближается к достаточной для коммерческого применения. ASML поставляет EUV-сканеры, которые будут готовы к массовому производству сверхсовременных микропроцессоров и памяти уже в 2018 году. Передовые производители чипов упорно работают над интеграцией этих машин в свои производственные линии.

Ставки высоки. Закон Мура сталкивается с серьёзными трудностями, и никто не знает, как полупроводниковая индустрия, заработавшая в прошлом году $330 млрд., поведёт себя в ближайшие 5-10 лет, или как она будет выглядеть, когда действие закона Мура закончится. Уменьшение доходов может оказаться неизбежным. Но если погоня за законом позволяет, допустим, избежать 15% падения прибыли, это будет означать, что индустрия сохранила прибыль, в два раза превышающую доходы всей игровой индустрии США.

Детализация технологии зависит от нескольких факторов. Один из главных способов достичь улучшений – укоротить длину волн используемого света. Десятилетиями литографы этим и занимались, сдвигая свои машины из голубой части видимого спектра вниз, к более коротким волнам ультрафиолета.



Слева – современная технология на 193 нм при использовании мультипаттернинга, справа – обещания EUV-технологии. Линии на микрофотографии имеют минимальную ширину 24 нм.

В конце 1980-х индустрия полупроводников начала переходить от ртутных ламп к лазерам, уменьшая длину волн с 365 нм до 248 нм. Некоторые исследователи уже тогда планировали больший скачок, в рентгеновскую область. Хиро Киношита [Hiroo Kinoshita], работавший в 1986 году в японской телекоммуникационной компании NTT, сообщил о результатах работы над своей идеей с использованием 11 нм излучения. Другие, в лабораториях AT&T Bell Laboratories и Государственной лаборатории Лоуренса Ливермора также независимо работали над технологией. В 1989 году некоторые исследователи встретились и обменялись полученными знаниями на конференции. В последующие годы в исследование вкладывались игроки индустрии и правительство.

ASML с несколькими партнёрами начали работу над EUVL в конце 1990-х. Тогда Антон ван Дийсселдонк [Anton van Dijsseldonk], выросший в нидерландском городе Велдховене, где расположена штаб-квартира ASML, стал первым сотрудником компании, работающим над проектом. «Предсказывали конец действия закона Мура»,- вспоминает он, и полупроводниковая индустрия искала способы не останавливать увеличение рабочего разрешения на производстве. Изготовители чипов пытались улучшать ещё и наслоения – возможность многократно размещать подложку в сканере и печатать новый набор масок точно на нужном месте. «Люди в то время искали альтернативы,- говорит ван Дийсселдонк,- и EUV была экзотичной [технологией]».

Но с самого начала исследователи из ASML были убеждены, что смогут заставить технологию работать – и что она станет самым выгодным для изготовителей методом. Не прошло и 10 лет, а компания уже решила строить демонстрационные сканеры, которые другие исследователи могли бы использовать для проверки технологии.

Но на пути инженеров, пытающихся просвечивать маски при помощи рентгеновского излучения, встаёт физика. На 13,5 нм свет поглощается множеством материалов. Даже воздух «абсолютно чёрный», и поглощает всё излучение, как говорит ван Дийсселдонк. Так что они с коллегами почти сразу поняли, что сканер сможет работать только в вакууме, и каждая подложка должна заходить и выходить из него через воздушный шлюз.

Существует и проблема отклонения излучения. EUV поглощается и стеклом, поэтому необходимо было отказаться от линз в пользу зеркал. И не простых – первая попавшаяся полированная поверхность не обладала бы нужной отражательной способностью. Им пришлось использовать брэгговские отражатели — многослойные зеркала, собирающие несколько отражений в одно достаточно сильное.




Сканер готовят к отправке заказчику. Машина разобрана на девять частей.

Сегодня зеркала в EUV-машинах состоят из 40 пар перемежающихся кремния и молибдена, и каждый из слоёв имеет в толщину всего несколько нанометров. Разработавшая зеркала компания Zeiss изготавливает их с высокой точностью. Но в итоге, как говорит ван Дийсселдонк, «если вы справитесь с этой задачей нереально хорошо, вы получите зеркало с 70% отражаемостью». А значит, что каждая пара зеркал системы вдвое ослабляет излучение. Сканеру же может понадобиться и десяток зеркал для перенаправления света от источника до маски, которая сама тоже является зеркалом, и потом на подложку. После прохождения пути от начального света может остаться всего 2%.

Чем меньше света доходит, тем дольше подложке нужно оставаться в сканере. На на фабрике время = деньги. Для коммерческого использования технология должна конкурировать по стоимости с существующими методами литографии. Потери на отражения должны быть скомпенсированы большой яркостью источника света. И это оказалось очень трудно сделать.

Раньше исследователи уже придумали всё, что может испускать рентгеновские лучи, включая лазеры и ускорители частиц. Но выбранный в итоге метод, позволявший самым экономным образом достичь достаточной яркости, включает использование плазмы. Если подвергнуть нужный материал воздействию достаточно мощного лазера или электрического тока, можно отделить электроны от атомов. Результирующая плазма будет излучать EUV, а разогретая материя – охлаждаться.

При использовании плазмы, там, где свет от источника входит в сканер, его мощность составляет 250 Вт. Такое количество света позволит машине обрабатывать порядка 125 подложек в час. Это число находится на уровне желаемого количества для массового производства, и меньше в два раза по сравнению с современными машинами, работающими с 193 нм.

Но много лет до этого прогресс шёл медленно, и увеличение яркости света не дотягивало до предсказанных. К 2011 году, спустя пять лет после появления первых пробных сканеров от ASML, один из ведущих разработчиков источников света, компания Cymer, смогла создать источник, выдающий 11 Вт на постоянной основе. «Мы недооценили сложности, связанные с этой задачей»,- говорит Ханс Мейлинг [Hans Meiling], отвечающий в ASML за маркетинг. В итоге ASML купила Cymer за €3,1 млрд. в 2013 году.

Для создания источника EUV Cymer используют плазму, получаемую при помощи лазера. 50 000 микроскопических капель ультрачистого олова в секунду устремляется через вакуумную камеру, и каждая из них освещается светом углекислотного лазера, прошедшего через несколько усилителей, изначально разработанных для резки металла. Когда импульс лазера встречается с каплей, она разогревается до состояния плазмы и излучает EUV. Собирающее зеркало отражает свет и отправляет его в сканнер. Чтобы на зеркале не скапливались остатки олова, его постоянно продувают водородом.

«Когда я впервые услышал об этом, я решил, что это какое-то безумие»,- признаётся Альберто Пирати [Alberto Pirati], присоединившийся к разработке источника EUV в 2013 году. Но понемногу команда достигла почти невозможного. Один из прорывов случился благодаря технологии, изучавшейся в Cymer ещё до приобретения. Они обнаружили, что если давать предварительный импульс перед основным лазером, каждая капля олова расплющивалась в диск, и тем самым увеличивалась площадь поверхности для взаимодействия с импульсом основного лазера. Эта технология увеличила выход преобразования из плазмы в EUV с 1% до 5%. Благодаря ей и другим оптимизациям компания в начале года сообщила о достижении мощности в 200 Вт. Ещё один разработчик источников света, Gigaphoton, тоже сообщил о большом прогрессе. Давно ожидаемый источник мощностью в 250 Вт уже не за горами. На настоящие тесты технологии на её готовность к массовому производству произойдут в лабораториях клиентов ASML.

Никто не сомневается, что EUV-машины способны на большую детализацию. На конференции по полупроводникам вы наверняка встретите презентацию с микрофотографиями сделанных на EUV масок и сравнение их с размытыми масками, полученными существующими методами.

Вопрос в том, какую роль EUV сыграет в массовом производстве – и когда. Стоимость перехода на неё удручает. Цена на новый агрегат от ASML превышает €100 млн., это вдвое дороже сканера на 193 нм. Размером она соперничает с автобусом, и для доставки требует нескольких рейсов 747-го. Она может потреблять около 1,5 МВт, что гораздо больше, чем машина на 193 нм.



Эволюция капли олова. Первый импульс расплющивает, второй превращает в плазму.

Но простое сравнение спецификаций не отражает всю стоимость производства. Сегодняшние литографические системы на 193 нм могут производить чипы с размерностями в несколько долей от этой длины волны. Это стало возможным благодаря двум прорывам. Первый — иммерсионная литография, помещающая воду между кремниевой подложкой и проецирующей оптикой. Второй — мультипаттернинг, разбивающий процесс создания слоя на несколько шагов. К примеру, для создания нескольких близко расположенных отверстий подложку можно сначала прогнать через сканер, создающий половину отверстий, а затем второй раз – для создания второй половины с небольшим сдвигом. Поскольку расположение подложки определяется с высокой точностью, инженеры могут создавать свойства, невозможные при одном проходе. И чем больше используется шагов, тем мельче могут быть детали. Но каждый шаг усложняет и удорожает процесс производства.

Сейчас GlobalFoundries использует тройной паттеринг для изготовления чипов стандарта 14 нм, и самые продвинутые из них создаются в Fab 8. Это значит, что для особо важных слоёв чипу приходится делать два дополнительных прохода через сканнер, и все остальные инструменты, создающие слои. Компания предполагает перейти на четырёхкратный паттеринг с деталями в 7 нм – так говорит Джордж Гомба [George Gomba], руководитель проекта по оценке технологии.

Пока что GlobalFoundries рассчитывает выкатить технологию в 7 нм к 2018 году без использования EUV, но когда последняя дойдёт до готовности, не откажется и от неё. Ключевой вопрос – когда стоимость EUV сравняется хотя бы с мультипаттернингом. Ответить на него сложно, поскольку ответ зависит от нескольких неизвестных факторов, включая яркость EUV-источников и возможности непрерывной работы всей технологии, основанной на EUV.


Принципиальная схема источника света

Но не только GlobalFoundries и IBM вкладываются в EUV. В 2012 году Intel, Samsung и тайваньский производитель Taiwan Semiconductor Manufacturing Co. (TSMC) в сумме инвестировали €1,38 млрд. в исследования литографии нового поколения, проведённые в ASML (одновременно компания собрала €3,85 через акции). Мейлинг говорит, что в компании работает около 4000 человек, не считая исследователей, работающих у крупнейших производителей чипов и в исследовательских институтах, самостоятельно работающих над технологией.

Все эти вложения происходят не только потому, что технология EUV сложна, но и потому, что производители понимают, что вскоре без неё они не смогут двигаться дальше. Энтони Йен [Anthony Yen], ведущий разработку EUV-литографии в TSMC, напрямую говорит о критичности EUV для выполнения закона Мура: «Критична на 100%. Очень, очень критична». Эксперты TSMC собираются начать использовать EUV в 2020 году, когда компания начнёт производство чипов по технологии 5 нм.

Пока ещё существуют технологические трудности. В первых рядах – защита маски, поверхности трафарета, который будет отпечатан на подложке. Тут опять возникает вопрос отражающей способности.

В машине, работающей по технологии 193 нм, маска защищена плёнкой под названием пелликула [pelicula (исп.) — плёнка], которая натянута на небольшом расстоянии от неё на манер упаковки. При нынешних технологиях пылинка, невидимая глазу, упав на трафарет, может загубить сотни транзисторов. Но если она упадёт на пелликулу, то будет не в фокусе и не помешает созданию рисунка на подложке.

Но эти пелликулы не прозрачны для света в 13,5 нм. ASML хотела строить сканеры без плёнок, но изготовителей останавливают минусы такого подхода. «Если на маску попадёт одна частица,- говорит Йен,- то все подложки окажутся повреждёнными. Вообще можно остаться с нулевым выходом». Месяцы работу, и десятки и сотни тысяч долларов потрачены впустую.

Поэтому в ASML исследуют вопрос изготовления пелликулы, способной противостоять EUV-излучению. И она должна быть прозрачной, что ещё труднее. Поскольку маски EUV не прозрачные, а отражающие, свет должен пройти через пелликулу два раза – внутрь и наружу.

Есть и другие трудности, преодоления которых ожидают потенциальные клиенты. Например, необходимо найти возможность изготавливать маски без дефектов, а также возможность проверять отсутствие дефектов масок. Или фоторезист – светочувствительный слой, покрывающий подложку, копирующий рисунок с трафарета.

Современные химически усиливаемые резисты изготавливаются из полимерных цепочек молекул, умножающих эффект приходящих фотонов. Но эти материалы плоховато поглощают EUV, как поясняет Эндрю Гренвиль [Andrew Grenville], генеральный директор стартапа по EUV-резистам, Inpria. Более того, зафиксированное изображение немного расплывается, поскольку реакция усиления света проникает в материал. Для изготовления чётких контуров «необходимы более мелкие и устойчивые компоненты», говорит Гренвиль. Inpria работает над резистом из небольших компонентов оксида олова, поглощающих EUV в пять раз лучше и создающих шаблоны без усиления.

Успеют ли инженеры поддержать закон Мура, на время или навсегда? Эксперт по литографии Крис Мэк [Chris Mack] сомневается, что все кусочки головоломки можно будет собрать к 2018 году. Планирование производства чипов нового поколения требует нескольких лет. Давать зарок на использование технологии EUV в ближайшие несколько лет, по его словам, очень рискованно.

Мэк, известный скептик EUV, однажды поставивший на неудачу технологии свой спортивный автомобиль Lotus Elise, признаёт, что некий луч надежды существует. Производители пытаются удержать контроль над миниатюризацией и стоимостью производства. Промежутки между успешными поколениями чипов удлинняются, и размеры чипов уменьшаются не так агрессивно, как раньше. Эти проблемы могут открыть дорогу технологии EUV, говорит он: «Есть реальная возможность, что это замедление в законе Мура может дать EUV достаточно времени».

Достаточно времени до того, как возрастание стоимости затормозит закон Мура. EUV могут достичь состояния, в котором их можно будет использовать в производстве, уменьшая его стоимость, говорит Мэк. Но к тому времени, по его словам, общая стоимость следующего поколения чипов может оказаться чрезмерной, а увеличение скорости вычислений – недостаточным для того, чтобы заинтересовать производителей. Мэк говорит, что производство старых чипов задерживается на всё более длительные периоды: «Думаю, что рынок разделится на множество компаний, занимающихся очень разными направлениями».

Как уже бывало в прошлом, судьба закона Мура будет зависеть не только от возможностей печати на мелких масштабах, но и от того, насколько сильно физики и инженеры будут улучшать получающиеся транзисторы и схемы. Даже последовательность большого количества вспышек плазмы на основе капель олова не прольёт свет на то, когда закончится величайший технологический чемпионский забег человечества. Но она же может и осветить нам дальнейший путь.

Российская компания успешно вписалась в мировой процесс производства микрочипов

Все мы давно привыкли к смартфонам, и нас совсем не удивляет, что с каждым годом они становятся все меньше и тоньше, выполняя все больше функций и постепенно превращаясь из переговорного устройства в полноценный компьютер. Для создания все более функциональных устройств производителям приходится находить инновационные технологии, и российские компании активно им в этом помогают. Одна из таких компаний — «Троицкий инженерный центр» — ТИЦ (резидент «Сколково»), который выпускает источники ЭУФ-излучения для метрологии, необходимой при производстве микросхем.


Фото: Анатолий Жданов, Коммерсантъ / купить фото

Фото: Анатолий Жданов, Коммерсантъ / купить фото

Физика без лирики

Но сначала немного физики. Начнем с того, что микросхемы, на которых построена вся современная электроника, «печатаются» из кремния по технологии проекционной литографии. Тот же принцип используется при печати фотографий, вот только в этом случае изображение с пленки проецируется на бумагу с увеличением, а при печати микросхем специальная пластина — маска, где записано изображение микросхемы, с уменьшением проецируется на полупроводниковую пластину из кремния, покрытую фоторезистом (светочувствительный полимерный материал), который потом, как и фотопленку, проявляют.

Всем известный закон Мура гласит, что каждые два года число элементов на микроэлектронных схемах удваивается, а следовательно, их размер должен пропорционально уменьшаться. «В рекламе каждого нового айфона, например, мы видим, что процессор сделан по технологии 20, 15, 10, 7, 5 нанометров (нм) и на следующий год уже запланировано 3 нм,— говорит генеральный директор ТИЦ Евгений Горский.— В чем там техническая проблема? Свет, с помощью которого все это осуществляется, обладает волновой природой, а волны имеют определенную длину. Как только мы пытаемся элемент изображения сделать меньше длины волны источника света, вместо резкого изображения благодаря явлению дифракции получаем размазанное пятно. Следовательно, для уменьшения размеров элементов микросхем нужно уменьшить длину волны источников света. Если до 1990-х годов для производства микросхем использовались ртутные лампы, то в начале 2000-х их сменили эксимерные лазеры с длиной волны 248 нм. Сейчас мировой стандарт для производства микросхем — 193 нм, на этой длине волны работает почти вся современная микроэлектроника. Коммерчески доступных лазеров на длину волны меньше 193 нм пока нет. А микросхемы с элементами в 3–5 нм уже есть. Как такое возможно?»

Для создания более коротковолновых источников, чем 193 нм, ученые обратились к плазме. «Плазма позволяет получить практически любую длину волны, но использование коротковолнового излучения в технике имеет ряд особенностей,— объясняет Горский.— Свет в диапазоне 10–100 нм, называемый экстремальным ультрафиолетом, сокращенно ЭУФ, не проходит через воздух и какие-либо оптические материалы и почти ни от чего не отражается. Создать его можно, но для литографической машины нужна еще оптика, формирующая изображения будущих чипов».

Принцип работы источника ЭУФ-излучения

Принцип работы источника ЭУФ-излучения

Принцип работы источника ЭУФ-излучения

Принцип работы источника ЭУФ-излучения

Поскольку для создания линз на ЭУФ-диапазон подходящих материалов в природе не существует, ученые стали думать, как сделать оптику отражающей. В результате было изобретено многослойное диэлектрическое покрытие, состоящее из десятков слоев молибдена и кремния толщиной по нескольку нанометров каждый. Такие зеркала отражают примерно 80–85% ЭУФ-излучения с длиной волны 13,5 нм, которая сегодня используется в промышленности. Но возникла новая проблема: найти плазменный источник, эффективно создающий излучение на длине волны, которую отражает зеркало. И в начале 2000-х в лаборатории Константина Кошелева в Институте спектроскопии РАН было предложено использовать оловянную плазму, потому что у олова большая группа ионов излучает с достаточно высокой эффективностью именно в том диапазоне, который отражает зеркало из молибдена и кремния. На этой идее российских ученых построено современное производство микросхем, и 5 нм в айфоне последнего поколения получены с использованием олова в источнике излучения. Первые поставки коммерческих чипов на основе ЭУФ-технологий начались в 2019 году.

Литограф

Все эти высокотехнологичные процессы осуществляются в машине, которая называется ЭУФ-литограф. Это установка размером с небольшой дом стоимостью от €120 млн за штуку. Выпускает такие машины одна-единственная компания в мире — нидерландская ASML. «В этом литографе очень много российской научной работы,— подчеркивает Евгений Горский.— В ASML есть холл, где в рамочках висят патенты. Их там несколько сотен, и значительная часть патентов по ЭУФ выписана на людей с русскими фамилиями. У нас в Институте спектроскопии РАН (ИСАН) была создана признанная во всем мире научная школа по изучению физических процессов в литографии. В начале 2010-х на основе этой школы при содействии "Сколково" и "Роснано" возникла компания "ЭУФ Лабс", целью которой стала разработка новых принципов создания высокотехнологичного оборудования для ЭУФ-литографического процесса. Там же, в ИСАНе, была создана очень сильная школа по математическому моделированию процессов, происходящих в ЭУФ-плазме. Коллеги сейчас создали свою компанию, и у них заказывает моделирование процессов взаимодействия плазмы с элементами конструкции источника пятерка лидеров мировой электронной промышленности».

«Понятно, что технологическая линия обычно состоит не из одного только литографа, а из нескольких сот разных машин, работающих по сложным процессам,— продолжает гендиректор ТИЦ.— В центре современной ЭУФ-литографии находится источник излучения, в котором организован поток из летящих в вакууме капелек олова, где каждую каплю расстреливают импульсом мощного лазера. Под действием лазерного импульса вещество капли превращается в плазму, часть излучения от которой попадает в нужный для литографии диапазон 13,5 нм».

При этом все процессы в литографии требуют метрологии, то есть контроля параметров. Необходимо постоянно проверять состояние источника, оптики, систем позиционирования, точности совмещения слоев и т. д. Особенно остро в ЭУФ-литографии стоит вопрос контроля чистоты и состояния масок, поскольку даже нанометровые загрязнения будут приводить к созданию дефектных чипов.





Источник света для метрологии

Здесь стоит вспомнить, что ЭУФ-технология жестко привязана к длине волны 13,5 нм, поэтому для метрологии нужен источник ровно на той же длине волны, как на производстве, только более компактный, с более высокой яркостью и при этом не требующий сложной инфраструктуры. Но и это еще не все. Вся ЭУФ-техника вакуумная, излучение тоже должно появляться в вакууме и передаваться дальше в метрологическую часть оборудования — туда, где находятся маски, оптика, детекторы и прочие элементы. В этой метрологической зоне должна царить идеальная чистота. «Но у нас нет возможности между нашим источником и целевой машиной поставить защитное окно, потому что оно поглотит ЭУФ-излучение,— объясняет Евгений Горский.— Выход один: делать чистый источник, который не создает "грязи". Над этой проблемой работали многие научные коллективы и коммерческие компании всего мира. Принципиально новый подход к этой теме был разработан и запатентован компанией "ЭУФ Лабс". Техническое решение было настолько успешным, что "ЭУФ Лабс" тут же получила предложение о продаже права использовать эту технологию от одного из крупнейших производителей оборудования для полупроводниковой промышленности. В России тоже решили строить такие источники, и задачей ТИЦ было перейти от принципа и макетного прототипа к настоящему коммерческому прибору для самой высокотехнологичной мировой индустрии. И мы такой прибор построили».

Устройство, которое производит ТИЦ, представляет собой источник ЭУФ-излучения на 13,5 нм для целей метрологии. Это ключевой элемент больших метрологических установок. По словам Горского, на рынке есть и другие источники ЭУФ, но они менее яркие, более грязные и требуют частого обслуживания.

Как и источник излучения для ЭУФ-литографа, прибор ТИЦ тоже работает на основе оловянной лазерной плазмы. «Вот это диск,— объясняет Евгений Горский принцип действия прибора,— на его боковой стенке находится пленка из жидкого олова, в которую стреляет лазер. В точке, в которую попадает лазерный импульс, образуются плазма и поток частиц, которые разлетаются в разные стороны. В результате получается примерно 2% полезного излучения и 98% всего остального: ненужного излучения, капель, ионов и прочего, что не должно попасть в установку пользователя. Коллеги из "ЭУФ Лабс" предложили гениальную по своей простоте идею: быстро вращать этот диск. В таком случае загрязняющие частицы, живущие в системе отсчета, связанной с диском, после выстрела летят по инерции дальше. Таким образом, грязь можно собрать в ловушку и безопасно утилизировать; для этого скорость вращения диска должна быть больше скорости разлета частиц. Это первое, что значительно очищает выходное излучение».

Но помимо оловянных капель в состав «грязи» входят и легкие ионы, которые все-таки частично проникают вперед. Чтобы уменьшить этот поток, на их пути создается магнитное поле. Частицы начинают закручиваться вокруг силовых линий и не долетают до выхода. Это вторая линия защиты.

Третья заключается в том, что в конус, из которого выходит полезное излучение, противотоком под небольшим давлением подается особо чистый газ, который выдувает все, что туда попало.

Наконец, в особо точных измерениях требуется еще один уровень защиты. Для этого используют CNT-мембраны (carbon nanotube — углеродные нанотрубки). Это такая тонкая углеродная сетка, состоящая из хаотически расположенных нанотрубок. Она задерживает случайно залетевшие частицы, ведь даже единичные «соринки» на выходе недопустимы.

Трудности реализации

«Все это в теории,— уточняет Горский.— А дальше идет техническая реализация. И тут одна за другой возникают трудности. Первое: диск должен вращаться в вакууме с огромной скоростью, а значит, нужны скоростные подшипники. Для нашей задачи удалось подобрать специальные композитные подшипники с керамическими шариками: обычные стальные в таких условиях не справляются. Подшипники надо смазывать, а смазка всегда испаряется и улетает. В вакууме источника ничего летать не должно. И мы нашли специальную смазку, которая используется для космических аппаратов».

В вакууме, как известно, нет теплопроводности, а значит, все, что греется в объеме установки, требует принудительного охлаждения. При этом диск работает в очень сложном температурном режиме: в центре диска для обеспечения нормальной работы подшипников должно быть не более 60°С, при этом на наружной поверхности — расплавленное олово при температуре около 300°С, а температура излучающей ЭУФ-плазмы вообще близка к миллиону градусов. Инженеры подобрали для диска особый титановый сплав, рассчитали его форму для обеспечения необходимой прочности.

«Отдельная проблема — как забирать тепло с диска,— говорит Евгений Горский.— Лазер мощностью несколько сот ватт стреляет прямо в диск, соответственно, все тепло выделяется на нем. Мы сделали так, что внизу под диском находится система лабиринтного уплотнения, которая забирает тепло и отдает его охлаждающей жидкости. Вакуум в системе поддерживается турбомолекулярным насосом. В машине установлено много сопутствующих систем: нагрев, охлаждение, вакуум, газы, вода, теплообменники, электрика, автоматика для управления и поддержания рабочих режимов».

«До нас в этой сфере в России практически не было положительного опыта по созданию коммерческого оборудования,— говорит в заключение гендиректор ТИЦ.— Все технологии разрабатывались и продавались как IP (интеллектуальная собственность), а мы впервые попытались создать готовый к использованию прибор. И у нас это получилось: в этом году мы уже поставили две машины в Корею и собираем еще несколько. У нас в стране между наукой и продуктом, который можно выпускать серийно, лежит пропасть. Нам удалось ее преодолеть, и это важно: Россия не должна оставаться на задворках мирового инновационного процесса».

Оборудование для литографии: лазерные и электронно-лучевые литографы, литографические приставки и растровые электронные микроскопы с фокусированным ионным пучком (ФИП-РЭМ), изготовленные немецкими компаниями Nanoscribe и Raith.

Решения для литографии

Лазерные 3D-литографы

Электронно-лучевые литографы

Nanoscribe Photonic Professional GT2

Nanoscribe Photonic Professional GT — это компактная настольная система лазерной.

Nanoscribe Quantum X

Nanoscribe Quantum X — это первая в мире система двухфотонной полутоновой.

Raith CHIPSCANNER

Raith CHIPSCANNER — это электронно-лучевой литограф для сканирования чипов.

Raith EBPG5150

Raith EBPG5150 – это литограф “direct write” с возможностью автоматизации и высокой.

Raith EBPG5200

Raith EBPG5200 – высокопроизводительный электронно-лучевой литограф, рассчитанный.

Raith eLINE Plus

Raith eLINE Plus — система, объединяющая в себе функционал передового.

Познакомьтесь с инновационными стоматологическими, хирургическими и офтальмологическими технологиями ZEISS, а также функционалом конкретного оборудования.

ZEISS Group успешно преодолела сложности, вызванные пандемией, и улучшила финансовые показатели по сравнению с прошлым годом.

Познакомьтесь с инновационными стоматологическими, хирургическими и офтальмологическими технологиями ZEISS, а также функционалом конкретного оборудования.

ZEISS Group успешно преодолела сложности, вызванные пандемией, и улучшила финансовые показатели по сравнению с прошлым годом.

Оборудование в данном случае позволяет:

  • налаживать серийное производство приборов со сложными составными схемами и полупроводниковыми материалами;
  • решать задачи в сфере наноинжиниринга и наноконструирования структур в 3D;
  • вести исследования и практические разработки в области физики, оптики, фотоники, нанотехнологий, микро- и наноэлектроники.

В нашем каталоге также есть оборудование, предназначенное для сканирования чипов и исследования их топологии. Оно необходимо для контроля качества электронных компонентов в микро- и наноэлектронике, а также для реконструкции макетов чипа, защиты оригинального производителя и выявления подделок.

Наконец, мы предлагаем специализированные приставки, которые легко интегрируются в растровые электронно-лучевые и ионно-лучевые микроскопы. Такое устройство значительно расширяет возможности микроскопа, позволяя прямо на нем решать ряд базовых задач из области электронно-лучевой литографии.

Оборудование находит свое применение в производстве:

  • фотонных кристаллов;
  • метаматериалов;
  • микроэлектромеханических систем;
  • биомедицинских 3D-принтинговых изделий;
  • микро- и нанофлюидных структур;
  • шаблонов для репликации.

Лазерная литография предназначена для создания уникальных двумерных и трехмерных субмикронных структур и их дальнейшего спектрального исследования. Также ее используют при изготовлении микрооптических устройств: отдельных рефракторных линз и целых систем, многоуровневых дифракционных оптических элементов и т.д. При помощи такого литографического оборудования можно проводить прототипирование микрооптических деталей в формате 2,5D и получать структурированные полимерные эталоны для дальнейшей репликации в серийном производстве.

Свяжитесь с нами по телефону: 8–800–2000–567 или заполните форму обратной связи:

Читайте также: